Lisaks Mentor Graphcis QuestaSim programmile kasutame aines simuleerimiseks ning FPGA sünteesiks veel programmi Xilinx Vivado. Sarnaselt QuestaSimile võimaldab programm VHDL ning Verilog programmikoodide simuleerimist.

Xilinx Vivado

Programmi avamiseks ava terminal sobivas kohas/kaustas ning anna järjest käsud:

  • cad
  • cad
  • 4
  • vivado

Avaneb Xilinx Vivado programmiaken. Kasutades Basys3 juhendit järgi ainult punkti 1 samme programmi loomiseks. Kui projekt on loodud jälgi õppejõu selgitusi failide importimiseks ning simulatsiooni käivitamiseks.

Lae alla XDC fail (Xilinx Design Constraints) ehk kasutajapiirangute fail - toplevel komponendi sisendite ja väljundite sidumine plaadi füüsiliste viikudega

Viimati muudetud: Esmaspäev, 19. oktoober 2020, 23.26 PM